8-Bit Serial-In Parallel-Out Shift Register with Clock Enable and Synchronous Reset

SR8RE is an 8-bit shift-left serial input (SLI), parallel output (Q7 – Q0) shift register with clock enable (CE) and synchronous reset (R) inputs. The R input, when High, overrides all other inputs and resets the data outputs (Q7 – Q0) Low. When CE is High and R is Low, the data on the SLI is loaded into the first bit of the shift register during the Lowto- High clock (C) transition and appears on the Q0 output. During subsequent Low-to-High clock transitions, when CE is High and R is Low, data is shifted to the next highest bit position as new data is loaded into Q0 (SLI->Q0, Q0->Q1, Q1->Q2, and so forth). The register ignores clock transitions when CE is Low.

Registers can be cascaded by connecting the Q7 output of one stage to the SLI input of the next stage and by connecting clock, CE, and R in parallel.

The default initial state of all flip-flops is zero.

Parameters

Parameter Description Units Default
DELAY Propagation delay. s DGTDELAY
IN_MODE Inputs mode.   IN
OUT_MODE Outputs mode.   OUT
IOMODEL The name of an I/O model, which describes the device’s loading and driving characteristics.   DGTDEFIOMODEL
POWER_NODE Digital power node name. Is the node used by the interface subcircuits which connect analog nodes to digital nodes.   $G_DPWR
GROUND_NODE Digital ground node name. Is the node used by the interface subcircuits which connect analog nodes to digital nodes.   $G_DGND